China advances in chip tool self-sufficiency but lithography still a ‘choke point’ – MASHAHER

ISLAM GAMAL7 August 2024Last Update :
China advances in chip tool self-sufficiency but lithography still a ‘choke point’ – MASHAHER


US export restrictions on China’s access to advanced chips and technologies have supercharged local efforts to replace foreign chip-making tools but bottlenecks remain, industry insiders and analysts said.

Top Chinese tool makers such as Naura Technology and Advanced Micro-Fabrication Equipment (AMEC) are leading a push for local chip foundries to “use first and fine-tune later” when it comes to domestic equipment.

There is even an unwritten rule in China’s semiconductor wafer fabs that says locally-made tools should comprise at least 70 per cent of their production lines, according to multiple industry insiders.

Do you have questions about the biggest topics and trends from around the world? Get the answers with SCMP Knowledge, our new platform of curated content with explainers, FAQs, analyses and infographics brought to you by our award-winning team.

After Washington imposed restrictions on the export of advanced chip making technologies, China’s leading chip makers began to shift their focus from chasing the leading edge to ramping up production capacity for legacy chips for cars and home appliances – and significant progress is being made.

Workers inspect a semiconductor wafer at TankeBlue Semiconductor in Beijing, Jan. 24, 2024. Photo: Xinhua alt=Workers inspect a semiconductor wafer at TankeBlue Semiconductor in Beijing, Jan. 24, 2024. Photo: Xinhua>

“The Chinese semiconductor tool sector has made great strides since the October 2022 US export control package,” said Paul Triolo, senior vice-president for China and technology policy lead at Albright Stonebridge Group, a Washington-based consulting firm. “This is the result of greater vertical integration among tool makers, greater integration with front-end manufacturers, and much more collaboration across the entire industry supply chain.”

Some industry veterans have become increasingly confident talking about self-sufficiency. Gerald Yin Zhiyao, chairman and CEO of Shanghai-listed AMEC, said China could be on the verge of reaching a basic level of self-sufficiency in chip-making tools this summer, something that seemed unlikely just a couple of years ago.

Yin said at a panel discussion last month that China’s semiconductor supply chain can achieve self-sufficiency, despite gaps in “quality” and “reliability”. That provides fresh evidence that US restrictions may have accelerated China’s chip industry development.

Still, there is one field that remains a chokepoint for China: lithography, which is the critical technology that prints ultrafine circuit patterns onto wafers. These systems, however, are subject to the most stringent export restrictions. Dutch company ASML is the sole supplier of extreme ultraviolet (EUV) lithography systems, required for leading edge chips, and is a major supplier of the less advanced deep ultraviolet (DUV) systems too.

In 2023, only 1.2 per cent of lithography systems used in Chinese foundries were sourced locally, according to data shared by Li Hong, president of foundry China Resources Microelectronics, during an industry forum a year ago.

During the second quarter, ASML’s shipments to mainland Chinese customers totalled 2.35 billion euros (US$2.5 billion), or nearly half of its worldwide systems sales, reflecting China’s continued dependence on ASML tools in legacy nodes that are not under US sanctions.

“Chinese firms have purchased large amounts of DUV lithography gear from ASML, reflecting the fact that Chinese lithography leader SMEE remains behind ASML in reliably producing lithography gear that can be used at 28-nanometre and below at scale,” Triolo said.

However, China is doubling down on efforts in lithography and a breakthrough remains possible, according to some experts.

This photo taken on April 29, 2024 shows an employee at a semiconductor factory in Huai’an, in eastern China’s Jiangsu province. Photo: AFP alt=This photo taken on April 29, 2024 shows an employee at a semiconductor factory in Huai’an, in eastern China’s Jiangsu province. Photo: AFP>

“I think several parties in China are quite capable of developing many of the individual modules and subsystems for DUV and EUV,” said Rene Raaijmakers, a Dutch technology writer and author of ASML’s Architects. China’s development time frame could even be faster than ASML’s on EUV “because they can use more advanced technology that is available in the market”, Raaijmakers said.

Lithography is not the only bottleneck for China, though. The local supply ratios for ion implantation and inspection and metrology systems were 1.4 per cent and 2.4 per cent, respectively, according to Li of China Resources Microelectronics. The country’s imports of ion implantation systems grew 20 per cent year on year to US$1.3 billion in 2023, according to data from China Customs.

Chinese wafer fabs rely on US companies KLA and Applied Materials and Japan’s Hitachi for metrology systems, according to a research report from brokerage Sealand Securities. KLA holds around 50 per cent of the global market share in the inspection and metrology tool sector.

“The inspection and metrology sector has a low percentage of local supply, and domestic replacement happens basically in the low-end,” said a semiconductor investor from the venture capital arm of Meituan, who requested anonymity.

This article originally appeared in the South China Morning Post (SCMP), the most authoritative voice reporting on China and Asia for more than a century. For more SCMP stories, please explore the SCMP app or visit the SCMP’s Facebook and Twitter pages. Copyright © 2024 South China Morning Post Publishers Ltd. All rights reserved.

Copyright (c) 2024. South China Morning Post Publishers Ltd. All rights reserved.




Source Agencies

Leave a Comment

Your email address will not be published. Required fields are marked *


Comments Rules :

Breaking News